Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

Tathagata Ray, Haixin Zhu, Deirdre Meldrum

Research output: Contribution to journalArticlepeer-review

26 Scopus citations

Abstract

In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min-1 by using SF6-based gas chemistry, and the highest etching selectivity between the fused silica and KMPRR® 1025 was up to 1.2 using a combination of CF4, CHF3 and Ar. Up to 30 μm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (Ra) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPRR® 1025 masking layer and a non-ICP-based reactive ion etcher.

Original languageEnglish (US)
Article number097002
JournalJournal of Micromechanics and Microengineering
Volume20
Issue number9
DOIs
StatePublished - Sep 2010

ASJC Scopus subject areas

  • Electronic, Optical and Magnetic Materials
  • Mechanics of Materials
  • Mechanical Engineering
  • Electrical and Electronic Engineering

Fingerprint

Dive into the research topics of 'Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications'. Together they form a unique fingerprint.

Cite this