Synchroscalar: Initial lessons in power-aware design of a tile-based embedded architecture

John Oliver, Ravishankar Rao, Paul Sultana, Jedidiah Crandall, Erik Czernikowski, Leslie W. Jones IV, Dean Copsey, Diana Keen, Venkatesh Akella, Frederic T. Chong

Research output: Contribution to journalArticlepeer-review

Abstract

Embedded devices have hard performance targets and severe power and area constraints that depart significantly from our design intuitions derived from general-purpose microprocessor design. This paper describes our initial experiences in designing Synchroscalar, a tile-based embedded architecture targeted for multi-rate signal processing applications. We present a preliminary design of the Synchroscalar architecture and some design space exploration in the context of important signal processing kernels. In particular, we find that synchronous design and substantial global interconnect are desirable in the low-frequency, low-power domain. This global interconnect enables parallelization and reduces processor idle time, which are critical to energy efficient implementations of high bandwidth signal processing. Furthermore, statically-scheduled communication and SIMD computation keep control overheads low and energy efficiency high.

Original languageEnglish (US)
Pages (from-to)73-85
Number of pages13
JournalLecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
Volume3164
StatePublished - 2004
Externally publishedYes

Keywords

  • 802.11(a)
  • Embedded processors
  • Low power processor
  • Programmable dsp processor
  • Tiled-based architectures

ASJC Scopus subject areas

  • Theoretical Computer Science
  • General Computer Science

Fingerprint

Dive into the research topics of 'Synchroscalar: Initial lessons in power-aware design of a tile-based embedded architecture'. Together they form a unique fingerprint.

Cite this