Power reduction of functional units considering temperature and process variations

Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma Vrudhula

Research output: Chapter in Book/Report/Conference proceedingConference contribution

4 Scopus citations

Abstract

Continuous technology scaling has resulted in an increase in both, the power density as well as the variation in device dimensions (process variations) of the manufactured processors. Both power density and process variations have a significant impact on the leakage power. Therefore, power optimization techniques should be sensitive to the variation in leakage power due to both temperature as well as process variations. Operation to Functional Units Binding Mechanism (OFBM) is the mechanism to dynamically issue operations to Functional Units (FUs) in superscalar processors. We propose a Leakage-Aware OFBM (LA-OFBM), which is both temperature and process variation aware. Our experimental results demostrate that LA-OFBM reduces the mean and standard deviation of the total energy consumption of ALUs by 18%, and 46% respectively, as compared to the traditional OFBM, without any performance penalty.

Original languageEnglish (US)
Title of host publicationProceedings - 21st International Conference on VLSI Design, VLSI DESIGN 2008
Pages533-538
Number of pages6
DOIs
StatePublished - 2008
Event21st International Conference on VLSI Design, VLSI DESIGN 2008 - Hyderabad, India
Duration: Jan 4 2008Jan 8 2008

Publication series

NameProceedings of the IEEE International Frequency Control Symposium and Exposition

Other

Other21st International Conference on VLSI Design, VLSI DESIGN 2008
Country/TerritoryIndia
CityHyderabad
Period1/4/081/8/08

ASJC Scopus subject areas

  • General Engineering

Fingerprint

Dive into the research topics of 'Power reduction of functional units considering temperature and process variations'. Together they form a unique fingerprint.

Cite this