GemV: A validated toolset for the early exploration of system reliability

Karthik Tanikella, Yohan Koy, Reiley Jeyapaul, Kyoungwoo Lee, Aviral Shrivastava

Research output: Chapter in Book/Report/Conference proceedingConference contribution

17 Scopus citations

Abstract

Decades of technology scaling has brought the threat of soft errors to modern embedded processors. Though several methods have been proposed to protect systems from soft errors, their effectiveness in ensuring error-free computing cannot be guaranteed; without accurate and quantitative estimation of system reliability. The metric vulnerability - which defines the likelihood of device failure by accurately evaluating the time it is exposed to soft errors - provides the most effective means to perform early design space explorations to estimate system reliability in the presence of transient soft errors. In this paper, we present gemV - the first accurate and comprehensive vulnerability estimation toolset, which is configurable and extendible to analyse future/novel architecture and microarchitecture designs. Some of the key features of gemV are: (1) all possible microarchitecture components that store bits, even temporarily, are modeled for their vulnerability in the gem5 cycle-accurate simulation platform, (2) its models have been validated (<3% correlation error with 90% statistical confidence) through exhaustive bit-level fault injection experiments, (3) the analytical models have incorporated microarchitecture-level masking effects like speculative executions, flushes, and etc. (4) the modular design of the vulnerability models make it easy to be extended and integrated when novel microarchitecture designs are explored. In addition to microarchitecture-level evaluation of system reliability, gemV provides a means to perform software-level design space explorations - that explore performance-vulnerability trade-offs of algorithm choices, compilers used, compiler optimization levels, etc. A system designer can further use gemV to explore the performance-vulnerability trade-offs of choosing different ISAs.

Original languageEnglish (US)
Title of host publication2016 IEEE 27th International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2016
PublisherInstitute of Electrical and Electronics Engineers Inc.
Pages159-163
Number of pages5
ISBN (Electronic)9781509015030
DOIs
StatePublished - Nov 28 2016
Event27th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2016 - London, United Kingdom
Duration: Jul 6 2016Jul 8 2016

Publication series

NameProceedings of the International Conference on Application-Specific Systems, Architectures and Processors
Volume2016-November
ISSN (Print)1063-6862

Other

Other27th IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2016
Country/TerritoryUnited Kingdom
CityLondon
Period7/6/167/8/16

ASJC Scopus subject areas

  • Hardware and Architecture
  • Computer Networks and Communications

Fingerprint

Dive into the research topics of 'GemV: A validated toolset for the early exploration of system reliability'. Together they form a unique fingerprint.

Cite this