Search concepts
|
Selected Filters
|
- 600 - 650 out of 147,007 results
Search results
-
A.S.U. Features Testbed. An overview
Shah, J. J., Rogers, M. T., Sreevalsan, P. C., Hsiao, D. W., Mathew, A., Bhatnagar, A., Liou, B. B. & Miller, D. W., Dec 1 1990, Computers in Engineering 1990. Kinzel, G. L. & Rohde, S. M. (eds.). Publ by ASCE, p. 233-241 9 p. (Computers in Engineering 1990).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
44 Scopus citations -
A.V. Feigenbaum (1922-2014)
Montgomery, D., Mar 1 2015, In: Quality and Reliability Engineering International. 31, 2, p. 153 1 p.Research output: Contribution to journal › Editorial › peer-review
-
A (Re)defining moment for fear appeals: a comment on Kok et al. (2018)
Roberto, A., Mongeau, P. & Liu, Y., Apr 3 2018, In: Health Psychology Review. 12, 2, p. 144-146 3 p.Research output: Contribution to journal › Comment/debate › peer-review
6 Scopus citations -
A (√3 × √3)R30° reconstruction on annealed (111) surfaces of MgO
Gajdardziska-Josifovska, M., Crozier, P. & Cowley, J. M., May 3 1991, In: Surface Science. 248, 1-2, p. L259-L264Research output: Contribution to journal › Article › peer-review
33 Scopus citations -
A 0.13-μm CMOS local oscillator for 60-GHz applications based on push-push characteristic of capacitive degeneration
Copani, T., Kim, H., Bakkaloglu, B. & Kiaei, S., 2010, Proceedings of the 2010 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2010. p. 153-156 4 p. 10.1109/RFIC.2010.5477283. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
8 Scopus citations -
A 0.13-μm CMOS ultra-low power front-end receiver for wireless sensor networks
Chen, W., Copani, T., Barnaby, H. & Kiaei, S., Oct 2 2007, Proceedings of the 2007 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2007. p. 105-108 4 p. 4266391. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Scopus citations -
A 0.13 μm low-power race-free programmable logic array
Samson, G. & Clark, L. T., Dec 1 2006, Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, CICC 2006. p. 313-316 4 p. 4114967. (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Scopus citations -
A 0.18μm CMOS fully integrated RFDAC and VGA for WCDMA transmitters
Taleie, S. M., Yongping, H., Copani, T., Bakkaloglu, B. & Kiaei, S., Sep 22 2008, Proceedings of the 2008 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2008. p. 157-160 4 p. 4561408. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
13 Scopus citations -
A 0.1pJ/b 5-to-10Gb/s charge-recycling stacked low-power I/O for on-chip signaling in 45nm CMOS SOI
Liu, Y., Hsieh, P. H., Kim, S., Seo, J. S., Montoye, R., Chang, L., Tierno, J. & Friedman, D., Apr 29 2013, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 400-401 2 p. 6487787. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
18 Scopus citations -
A 0.27V 30MHz 17.7nJ/transform 1024-pt complex FFT core with super-pipelining
Seok, M., Jeon, D., Chakrabarti, C., Blaauw, D. & Sylvester, D., 2011, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. Institute of Electrical and Electronics Engineers Inc., p. 342-343 2 p. 5746346. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
60 Scopus citations -
A 0.3-15 GHz SiGe LNA with >1 THz Gain-Bandwidth Product
Zeinolabedinzadeh, S., Ulusoy, A. C., Oakley, M. A., Lourenco, N. E. & Cressler, J. D., Apr 2017, In: IEEE Microwave and Wireless Components Letters. 27, 4, p. 380-382 3 p., 7888992.Research output: Contribution to journal › Article › peer-review
11 Scopus citations -
A 0.32-THz SiGe Imaging Array with Polarization Diversity
Li, Z., Qi, B., Zhang, X., Zeinolabedinzadeh, S., Sang, L. & Cressler, J. D., Mar 2018, In: IEEE Transactions on Terahertz Science and Technology. 8, 2, p. 215-223 9 p.Research output: Contribution to journal › Article › peer-review
14 Scopus citations -
A 0.36pJ/bit Analog PUF Based on Current Steering DAC and VCO
Danesh, M., Venkatasubramaniyan, A. B., Kapoor, G. & Sanyal, A., Aug 2019, 2019 IEEE 62nd International Midwest Symposium on Circuits and Systems, MWSCAS 2019. Institute of Electrical and Electronics Engineers Inc., p. 578-581 4 p. 8884835. (Midwest Symposium on Circuits and Systems; vol. 2019-August).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Scopus citations -
A 0.7-V 0.6- μw 100-kS/s Low-Power SAR ADC with Statistical Estimation-Based Noise Reduction
Chen, L., Tang, X., Sanyal, A., Yoon, Y., Cong, J. & Sun, N., May 2017, In: IEEE Journal of Solid-State Circuits. 52, 5, p. 1388-1398 11 p., 7857744.Research output: Contribution to journal › Article › peer-review
Open Access50 Scopus citations -
A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS
Chen, L., Ragab, K., Tang, X., Song, J., Sanyal, A. & Sun, N., Mar 2017, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 64, 3, p. 244-248 5 p., 7460902.Research output: Contribution to journal › Article › peer-review
Open Access24 Scopus citations -
A 1.06-μW Smart ECG Processor in 65-nm CMOS for Real-Time Biometric Authentication and Personal Cardiac Monitoring
Yin, S., Kim, M., Kadetotad, D., Liu, Y., Bae, C., Kim, S. J., Cao, Y. & Seo, J. S., Aug 2019, In: IEEE Journal of Solid-State Circuits. 54, 8, p. 2316-2326 11 p., 8713394.Research output: Contribution to journal › Article › peer-review
36 Scopus citations -
A 1.06 μw smart ECG processor in 65 nm CMOS for real-time biometrie authentication and personal cardiac monitoring
Yin, S., Kim, M., Kadetotad, D., Liu, Y., Bae, C., Kim, S. J., Cao, Y. & Seo, J., Aug 10 2017, 2017 Symposium on VLSI Circuits, VLSI Circuits 2017. Institute of Electrical and Electronics Engineers Inc., p. C102-C103 8008563. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
14 Scopus citations -
A 1.23-GHz 16-kb Programmable and Generic Processing-in-SRAM Accelerator in 65nm
Sridharan, A., Angizi, S., Cherupally, S. K., Zhang, F., Seo, J. S. & Fan, D., 2022, ESSCIRC 2022 - IEEE 48th European Solid State Circuits Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 153-156 4 p. (ESSCIRC 2022 - IEEE 48th European Solid State Circuits Conference, Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 1.24 μ A quiescent current NMOS low dropout regulator with integrated low-power oscillator-driven charge-pump and switched-capacitor pole tracking compensation
Magod, R., Bakkaloglu, B. & Manandhar, S., Aug 2018, In: IEEE Journal of Solid-State Circuits. 53, 8, p. 2356-2367 12 p.Research output: Contribution to journal › Article › peer-review
35 Scopus citations -
A 1.5 GHz 90 nm embedded microprocessor core
Ricci, F., Clark, L. T., Beatty, T., Yu, W., Bashmakov, A., Demmons, S., Fox, E., Miller, J., Biyani, M. & Haigh, J., 2005, IEEE Symposium on VLSI Circuits, Digest of Technical Papers. Vol. 2005. p. 12-15 4 p. 1469322Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
22 Scopus citations -
A 1.5V 1mA 80dB passive ΣΔ ADC in 0.13μm digital CMOS process
Chen, F., Ramaswamy, S. & Bakkaloglu, B., 2003, Digest of Technical Papers - IEEE International Solid-State Circuits Conference. Fujino, L. C., Grabel, A., Jeager, D. & Smith, K. C. (eds.). p. 53-55+477Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
33 Scopus citations -
A 1.5-V multi-mode quad-band RF receiver for GSM/EDGE/CDMA2K in 90-nm digital CMOS process
Bakkaloglu, B., Fontaine, P., Mohieldin, A. N., Peng, S., Fang, S. J. & Dülger, F., May 2006, In: IEEE Journal of Solid-State Circuits. 41, 5, p. 1149-1158 10 p.Research output: Contribution to journal › Article › peer-review
21 Scopus citations -
A 1.66 mV FOM output cap-less LDO with current-reused dynamic biasing and 20 ns settling time
Desai, C., Mandal, D., Bakkaloglu, B. & Kiaei, S., Feb 2018, In: IEEE Solid-State Circuits Letters. 1, 2, p. 50-53 4 p., 08309346.Research output: Contribution to journal › Article › peer-review
23 Scopus citations -
A 10.5-b ENOB 645 nW 100kS/s SAR ADC with statistical estimation based noise reduction
Chen, L., Tang, X., Sanyal, A., Yoon, Y., Cong, J. & Sun, N., Nov 25 2015, 2015 IEEE Custom Integrated Circuits Conference, CICC 2015. Institute of Electrical and Electronics Engineers Inc., 7338493. (Proceedings of the Custom Integrated Circuits Conference; vol. 2015-November).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
20 Scopus citations -
A 100-mA, 99.11% Current Efficiency, 2-mVpp Ripple Digitally Controlled LDO with Active Ripple Suppression
Cheah, M., Mandal, D., Bakkaloglu, B. & Kiaei, S., Feb 2017, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 25, 2, p. 696-704 9 p., 7548368.Research output: Contribution to journal › Article › peer-review
31 Scopus citations -
A 10b 50MS/s opamp-sharing pipeline A/D with current-reuse OTAs
Chandrashekar, K. & Bakkaloglu, B., Dec 1 2009, 2009 IEEE Custom Integrated Circuits Conference, CICC '09. p. 263-266 4 p. 5280855. (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Scopus citations -
A 10 b 50 MS/s opamp-sharing pipeline A/D with current-reuse OTAs
Chandrashekar, K. & Bakkaloglu, B., Sep 2011, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 19, 9, p. 1610-1616 7 p., 5518347.Research output: Contribution to journal › Article › peer-review
16 Scopus citations -
A 10-GHz Frequency Divider Using Selectively Doped Heterostructure Transistors
Hendel, R. H., Pei, S. S., Kiehl, R. A., Tu, C. W., Feuer, M. D. & Dingle, R., Oct 1984, In: IEEE Electron Device Letters. 5, 10, p. 406-408 3 p.Research output: Contribution to journal › Article › peer-review
13 Scopus citations -
A 10 kDa polypeptide associated with the oxygen-evolving complex of photosystem II has a putative C-terminal non-cleavable thylakoid transfer domain
Webber, A. N., Packman, L. C. & Gray, J. C., Jan 2 1989, In: FEBS Letters. 242, 2, p. 435-438 4 p.Research output: Contribution to journal › Article › peer-review
Open Access26 Scopus citations -
A 10 MHz bandwidth, 2 mV ripple PA regulator for CDMA transmitters
Chu, W. Y., Bakkaloglu, B. & Kiaei, S., Dec 2008, In: IEEE Journal of Solid-State Circuits. 43, 12, p. 2809-2819 11 p., 4684656.Research output: Contribution to journal › Article › peer-review
50 Scopus citations -
A 10MHz-bandwidth 2mV-ripple PA-supply regulator for CDMA transmitters
Chu, W. Y., Bakkaloglu, B. & Kiaei, S., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. p. 448-449+626+433 4523250. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
49 Scopus citations -
A 10MHz to 100MHz bandwidth scalable, fully differential current feedback amplifier
Bajaj, N., Vermeire, B. & Bakkaloglu, B., Aug 31 2010, ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems. p. 217-220 4 p. 5537958. (ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Scopus citations -
A 10-W X-Band Class-F High-Power Amplifier in a 0.25-μm GaAs pHEMT Technology
Alizadeh, A., Yaghoobi, M., Meghdadi, M., Medi, A. & Kiaei, S., Jan 2021, In: IEEE Transactions on Microwave Theory and Techniques. 69, 1, p. 157-169 13 p., 9250592.Research output: Contribution to journal › Article › peer-review
4 Scopus citations -
A 11 desaturase gene genealogy reveals two divergent allelic classes within the European corn borer (Ostrinia nubilalis)
Geiler, K. A. & Harrison, R. G., 2010, In: BMC Evolutionary Biology. 10, 1, 112.Research output: Contribution to journal › Article › peer-review
Open Access6 Scopus citations -
A 12-b, 650-MSps time-interleaved pipeline analog to digital converter with 1.5 GHz analog bandwidth for digital beam-forming systems
Nazari, A., Mikkola, E., Jalali-Farahani, B. & Barnaby, H., Oct 1 2016, In: Analog Integrated Circuits and Signal Processing. 89, 1, p. 213-222 10 p.Research output: Contribution to journal › Article › peer-review
3 Scopus citations -
A 12-week evaluation of annatto tocotrienol supplementation for postmenopausal women: Safety, quality of life, body composition, physical activity, and nutrient intake
Shen, C. L., Wang, S., Yang, S., Tomison, M. D., Abbasi, M., Hao, L., Scott, S., Khan, M. S., Romero, A. W., Felton, C. K. & Mo, H., Jun 28 2018, In: BMC Complementary and Alternative Medicine. 18, 1, 198.Research output: Contribution to journal › Article › peer-review
Open Access15 Scopus citations -
A 130-nm RHBD SRAM with high speed SET and area efficient TIP mitigation
Mohr, K. C., Clark, L. T. & Holbert, K., Dec 2007, In: IEEE Transactions on Nuclear Science. 54, 6, p. 2092-2099 8 p.Research output: Contribution to journal › Article › peer-review
15 Scopus citations -
A 133 MHz radiation-hardened delay-locked loop
Sengupta, R., Vermeire, B., Clark, L. T. & Bakkaloglu, B., Dec 2010, In: IEEE Transactions on Nuclear Science. 57, 6 PART 1, p. 3626-3633 8 p., 5658008.Research output: Contribution to journal › Article › peer-review
11 Scopus citations -
A 14.8μVRMS integrated noise output capacitor-less low dropout regulator with a switched-RC bandgap reference
Magod, R., Suda, N., Ivanov, V., Balasingam, R. & Bakkaloglu, B., Nov 25 2015, Proceedings of the Custom Integrated Circuits Conference. Institute of Electrical and Electronics Engineers Inc., Vol. 2015-November. 7338446Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Scopus citations -
A14 - A new math model for geometric tolerances to promote interchangeability in manufacturing: A key to success in global and non-collocated design
Davidson, J. K. & Shah, J. J., Dec 1 2005, Proceedings ICED 05, the 15th International Conference on Engineering Design. (Proceedings ICED 05, the 15th International Conference on Engineering Design; vol. DS 35).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 14-day ecological momentary assessment study on whether resilience and early family risk moderate daily stress and affect on cortisol diurnal slope
Tung, N. Y. C., Yap, Y., Bei, B., Luecken, L. J. & Wiley, J. F., Dec 2022, In: Scientific reports. 12, 1, 1240.Research output: Contribution to journal › Article › peer-review
Open Access1 Scopus citations -
A 14-GHz CMOS receiver with local oscillator and IF bandpass filter for satellite applications
Chen, W., Copani, T., Barnaby, H. & Kiaei, S., Oct 27 2009, Proceedings of the 2009 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2009. p. 123-126 4 p. 5135504. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Scopus citations -
A 14mW 5Gb/s CMOS TIA with gain-reuse regulated cascode compensation for parallel optical interconnects
Goswami, S., Silver, J., Copani, T., Chen, W., Barnaby, H., Vermeire, B. & Kiaei, S., 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2009. 4977327. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
17 Scopus citations -
A 150 MHz 0.6 μm BiCMOS Superscalar Microprocessor
Krick, R. F., Clark, L. T., Deleganes, D. J., Wong, K. L., Fernando, R., Debnath, G. & Banik, J., Dec 1994, In: IEEE Journal of Solid-State Circuits. 29, 12, p. 1455-1463 9 p.Research output: Contribution to journal › Article › peer-review
11 Scopus citations -
A 15-bit CMOS cyclic A/D converter with correlated double sampling
Hasan, M., Allee, D., Kabir, M. & Rahman, K., 2002, Proceedings - IEEE International Symposium on Circuits and Systems. Vol. 1.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Scopus citations -
A 15N-poor isotopic composition for the solar system as shown by genesis solar wind samples
Marty, B., Chaussidon, M., Wiens, R. C., Jurewicz, A. & Burnett, D. S., Jun 24 2011, In: Science. 332, 6037, p. 1533-1536 4 p.Research output: Contribution to journal › Review article › peer-review
215 Scopus citations -
A 16-channel flex circuit for cryogenic microwave signal transmission
McGarey, P., Mani, H., Wheeler, C. & Groppi, C., 2014, Millimeter, Submillimeter, and Far-Infrared Detectors and Instrumentation for Astronomy VII. Zmuidzinas, J. & Holland, W. S. (eds.). SPIE, 91532F. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 9153).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
16 Scopus citations -
A 177 TOPS/W, Capacitor-based In-Memory Computing SRAM Macro with Stepwise-Charging/Discharging DACs and Sparsity-Optimized Bitcells for 4-Bit Deep Convolutional Neural Networks
Zhang, B., Saikia, J., Meng, J., Wang, D., Kwon, S., Myung, S., Kim, H., Kim, S. J., Seo, J. S. & Seok, M., 2022, 2022 IEEE Custom Integrated Circuits Conference, CICC 2022 - Proceedings. Institute of Electrical and Electronics Engineers Inc., (Proceedings of the Custom Integrated Circuits Conference; vol. 2022-April).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Scopus citations -
A 18.5-fJ/step VCO-based 0-1 MASH ΔΣ ADC with digital background calibration
Sanyal, A. & Sun, N., Sep 21 2016, 2016 IEEE Symposium on VLSI Circuits, VLSI Circuits 2016. Institute of Electrical and Electronics Engineers Inc., 7573465. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2016-September).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
28 Scopus citations -
A 189 MHz, 2400 deg2 polarization survey with the murchison widefield array 32-element prototype
Bernardi, G., Greenhill, L. J., Mitchell, D. A., Ord, S. M., Hazelton, B. J., Gaensler, B. M., De Oliveira-Costa, A., Morales, M. F., Shankar, N. U., Subrahmanyan, R., Wayth, R. B., Lenc, E., Williams, C. L., Arcus, W., Arora, B. S., Barnes, D. G., Bowman, J., Briggs, F. H., Bunton, J. D., Cappallo, R. J., & 33 others , 2013, In: Astrophysical Journal. 771, 2, 105.Research output: Contribution to journal › Article › peer-review
82 Scopus citations